Wireshark-commits: [Wireshark-commits] master 770e7bf: QUIC: remove draft -11 support

From: Wireshark code review <code-review-do-not-reply@xxxxxxxxxxxxx>
Date: Sat, 15 Sep 2018 11:02:21 +0000
URL: https://code.wireshark.org/review/gitweb?p=wireshark.git;a=commit;h=770e7bfa22133205c4a0ef343b20aef7d9ee517f
Submitter: "Peter Wu <peter@xxxxxxxxxxxxx>"
Changed: branch: master
Repository: wireshark

Commits:

770e7bf by Peter Wu (peter@xxxxxxxxxxxxx):

    QUIC: remove draft -11 support
    
    Draft -11 was more or less complete, but complicates PKN handling. Drop
    it and update references to draft -13 (not the latest (-14) as we are
    trying to get -12 and -13 ready before moving on).
    
    Drop the "Short Packet Type" field and add a new field for three
    reserved bits. The Third, Fourth and Google QUIC Demultiplexing bits are
    not shown now for simplicity reasons.
    
    Change-Id: Ibf4e281a54488aab14f5c9ca48ecc532e9701b12
    Ping-Bug: 13881
    Reviewed-on: https://code.wireshark.org/review/29668
    Petri-Dish: Peter Wu <peter@xxxxxxxxxxxxx>
    Tested-by: Petri Dish Buildbot
    Reviewed-by: Alexis La Goutte <alexis.lagoutte@xxxxxxxxx>
    Reviewed-by: Peter Wu <peter@xxxxxxxxxxxxx>
    

Actions performed:

    from  1d2fd4f   packet.h: fix wrong parameter doc for register_dissector_table_alias
     add  770e7bf   QUIC: remove draft -11 support


Summary of changes:
 epan/dissectors/packet-quic.c | 91 +++++++++++++------------------------------
 1 file changed, 27 insertions(+), 64 deletions(-)